*
Bookmark and Share

Wafer Level AFM Metrology for Critical Dimension Measurements

Summary:

Significant manufacturing metrology challenges exist beyond scale calibration for the accurate determination of the size width and length of physical features. Measurement of linewidth or critical dimension (CD) continues to be one of the most fundamental dimensional metrology needs in the semiconductor and nanomanufacturing industries. Semiconductor manufacturers refer to this continually decreasing measurement limit as critical dimension (CD) metrology. The critical dimension size and tolerance decreases as technology progresses. The AFM is considered an essential tool in the measurement of nanometer scale features for the determination of feature shape. The AFM has also developed into an important element in reference metrology.

Description:

A reference measurement system based upon the CD-AFM presently within the program offers the most straightforward and highest resolution traceability path for the physical linewidth determination. Reference measurement systems (RMS) based on CD-AFMs have been established (by NIST staff) at both NIST and SEMATECH. These instruments will be the major source of reference metrology at NIST and at SEMATECH. Both of these tools were calibrated using NIST methodologies, standards, and measurements on other NIST instruments. Scanned probe tip widths with standard uncertainties less than 1 nm are measured through reference to the NIST-developed single crystal critical dimension reference material (SCCDRM). As part of this process a rigorously traceable atomic-lattice-based calibration technique for linewidth using high angle annular dark field scanning transmission electron microscope was developed. A new calibration technique for nanoscale feature sidewall angle was also developed.

An important part of AFM metrology is tip characterization. NIST will be expanding modeling techniques developed in the 1990’s for reconstruction of tip shape from calibration images to include an entire array of new sample geometries in response to rapidly evolving industrial requirements that are now less the 1 nm standard uncertainty for sub 50 nm sized features.

NIST will also develop accurate measurement protocols and an uncertainty statement for prototype polysilicon linewidth standards, and perform new linewidth measurements of SRM 2059 and the chrome on quartz photomask that will be used for the BIPM intercomparison.

We will also serve as the pilot laboratory for a preliminary key comparison of the nanoscale linewidth measurement capabilities of national measurement institutes around the world, sponsored by the Bureau International des Poids et Mesures (BIPM) in Paris.

Atomic Force Microscope applies a small cantilever probe with a small force and scans over the sample surface to form a topographical image of the sample surface.

Atomic Force Microscope applies a small cantilever probe with a small force and scans over the sample surface to form a topographical image of the sample surface.

Based on a critical dimension atomic force microscope (CD-AFM) the reference measurement system is used to impart traceability to dimensional measurements made in semiconductor manufacturing facilities. The types of measurements include step height, pitch, and linewidth. For linewidth, the same features measured with the RMS are measured using work-horse instruments such as the scanning electron microscope, thus enabling cross-calibration of those tools

Additional Technical Details:

Challenge/problem Addressed: To address traceability problems in semiconductor dimensional metrology, NIST in collaboration with SEMATECH developed and implemented a critical-dimension atomic force microscope (CD-AFM) based reference measurement system (RMS). The key goal of the system is to transfer traceable length measurements to tools used in the semiconductor industry.

Major Accomplishments:

  • Introduced and disseminated SI traceable width samples to SEMATECH member companies.
  • Disseminated methods for implementing a reference measurement system to U.S. semiconductor industry.
  • Developed of a reference measurement system at SEMATECH.
  • Developed a rigorously traceable linewidth calibration system.
Recent Publications:
  1. J. A. Kramar, R. Dixson, N. G. Orji, “Scanning Probe Microscope Dimensional Metrology at NIST,” to be published in Meas. Sci. Technol. (2010).
  2. J. S. Villarrubia, R. G. Dixson, A. E. Vladar, “Proximity-associated errors in contour metrology,” SPIE Proceedings Vol. 7638, 76380S-1 (2010).
  3. B. Bodermann, D. Bergmann, E. Buhr, W. Häßler-Grohne, H. Bosse, J. Potzick, R. Dixson, R. Quintanilha, M. Stocker, A. Vladar, N. G. Orji, “Results of an International Photomask Linewidth Comparison of NIST and PTB,” SPIE Proceedings Vol. 7488, 74881H-1-14 (2009).
  4. N. G. Orji, R. G. Dixson, A. Cordes, B. D. Bunday, J. A. Allgair, “Measurement Traceability and Quality Assurance in a Nanomanufacturing Environment,” SPIE Proceedings Vol. 7405, 740505 (2009).
  5. R. M. Silver, N. F. Zhang, B. M. Barnes, H. Zhou, A. Heckert, R. Dixson, T. A. Germer, B. Bunday, “Improving Optical Measurement Accuracy using Multi-technique Nested Uncertainties,” SPIE Proceedings Vol. 7272, 727202-1-14 (2009).
  6. R. Attota, M. Stocker, R. Silver, A. Heckert, H. Zhou, R. Kasica, L. Chen, R. Dixson, G. Orji, B. Barnes, P. Limpscomb, “Through-focus Scanning and Scatterfield Optical Methods for Advanced Overlay Target Analysis,” SPIE Proceedings Vol. 7272, 727214-1-13 (2009).
  7. R. Dixson, J. Potzick, N. Orji, “Recalibration of the SRM 2059 Master Standard using Traceable Atomic Force Microscope Metrology,” SPIE Proceedings Vol. 7122, 71222Q-1-12 (2008).
  8. J. Potzick, R. Dixson, R. Quintanilha, M. Stocker, A. Vladar, E. Buhr, W. Häßler-Grohne, B. Bodermann, C. G. Frase, H. Bosse, “International photomask linewidth comparison by NIST and PTB,” SPIE Proceedings Vol. 7122, 71222P-1-14 (2008).
  9. N. G. Orji, R. G. Dixson, B. D. Bunday, J. A. Allgair, “Accuracy Considerations for Critical Dimension Semiconductor Metrology,” SPIE Proceedings Vol. 7042, 70420A-1-11 (2008).
Veeco Insight 3D Atomic Force Microscope is used for linewidth metrology in the semiconductor industry and is used at NIST to support the single crystal critical dimension reference material (SCCDRM) project.
Veeco Insight 3D Atomic Force Microscope is used for linewidth metrology in the semiconductor industry and is used at NIST to support the single crystal critical dimension reference material (SCCDRM) project.

Start Date:

February 1, 2008

Lead Organizational Unit:

pml

Customers/Contributors/Collaborators:

Customers:

  • VLSI Standards
  • Intel
  • IBM

Collaborators:

  • Veeco Instruments modeling.
  • SEMATECH
  • IBM
  • SEMI International Standards

Facilities/Tools Used:

Critical dimension atomic force microscope

Contact

Physical Measurement Laboratory (PML)
Semiconductor & Dimensional Metrology Division (683)

General Information:
301-975-4399 Telephone
301-869-0822 Facsimile

100 Bureau Drive, M/S 8212
Gaithersburg, Maryland 20899-8212