Graphical Header for Center for Nanoscale Science and Technology
Center for Nanoscale Science & Technolgy home page NIST home page

The NanoFab, part of NIST’s Center for Nanoscale Science and Technology, provides its users with state-of-the-art equipment, expert training, and a high level of flexibility. It is able to satisfy the needs of users ranging from novice to the most advanced experts in the field. The CNST NanoFab has successfully supported advanced research projects in polymers, biology, nanoelectromechanical systems, ceramics, radiation physics, atomic physics, optics, and more. It also provides access to a wide variety of measurement and characterization tools, technologies, and expertise to NIST and its partners.

- What we do
- Staff directory
- CNST Newsletter Archive
  Using the NanoFab Facilities
- How to Become a User - NIST Staff and Guest Researcher
- How to Become an External User
- NanoFab Rate ScheduleAdobe PDF document updated
Rates Effective 1/1/2013
- Tool Training PolicyAdobe PDF document updated
- Contacts for the CleanroomAdobe PDF document
- Manuals and Forms
- CORAL
- Travel Awards
For users of the NanoFab and Collaborative Research Facilities available through CNST-UMD Cooperative Program

  Seminar Series
- Center for Nanoscale Science & Technology Nanotechnology Seminar Series


Search NIST webspace

NanoFab Infrastructure

NanoFab Equipment: The CNST’s NanoFab houses a multi-million dollar suite of state-of-the-art nanofabrication and nanomeasurement equipment. This equipment is selected to provide our users with a high degree of flexibility with tomorrow’s technologies . All of the tools within the CNST NanoFab are designed to accommodate a wide variety of materials and substrate sizes from small pieces to conventional size wafers. They have been installed to facilitate upgrades and simplify modification to accommodate rapid changes in technology. The tools and operating procedures have been selected to provide hands-on users with easy-run operations allowing the tools to be used with minimal time investment by users ranging in experience from novice to expert. Alternatively, the tools can be operated by one of CNST’s process engineers.
   
- Lithography:  Tools to provide consistent and repeatable image transfers from nanoscale to macro scale, on a variety of substrate materials and wafer sizes. Capabilities include two E-Beam lithography systems, Nanoimprint lithography, conventional contact photolithography, i-line 5x reduction stepper lithography and laser lithography.
   
- Metrology:  Tools to enable researchers to inspect their samples include several microscopes, two Atomic Force Microscopes, ellipsometry, profilometry, reflectometry, a wetting angle goniometer and film stress measurement.
Coming soon: New extended wavelength (1610 nm) automatic Spectroscopic Ellipsometer.
   
- Furnaces/CVD:  Capabilities include high temperature diffusions and rapid thermal annealing for general and ultra clean CMOS applications. One furnace stack houses three LPCVD systems that deposit silicon nitride, low temperature oxide and amorphous or poly silicon.
Coming soon: New high density plasma, Plasma Enhance Chemical Vapor Deposition (PECVD)
   
- Dry Etch:  The NanoFab is equipped with a wide variety of dry etch tools including chlorine base metal etching, deep silicon RIE (Reactive Ion Etching), several fluorine based RIE systems including cryogenic cooled etches, ion milling and xenon fluoride etching.
   
- Metal Deposition:  Tools to deposit a wide variety of pure metal films and alloys. Capabilities include DC and RF sputter deposition, E-beam evaporation and thermal evaporation.
   
- Focused Ion Beams:  The NanoFab has three focused ion beam tools capable of high resolution nanoscale milling and ion beam deposition. These tools also contain EDS and lithographic pattern writing capability.
Coming Soon: Electron BackScatter Diffraction (EBSD) added to FIB
   
- Imaging/Analysis:  Imaging capabilities include an FESEM with Energy Dispersive Spectrometer (EDS), tabletop SEM in the cleanroom and a TEM outside the cleanroom. Of course the SEM columns on the FIB tools double as very capable SEM tools.
Coming soon: X-ray diffractometer (XRD)
   
- Wet Chemistry:  The NanoFab has several tools to provide safe chemical cleaning and etching of various size substrates and materials. Capabilities include RCA clean baths, KOH etching, HF vapor etching, and critical point drying.
Coming soon: Automatic hot solvent spray lift-off tool
   
- Back End Tools:  Tools such as a dicing saw, wire bonder and a Chem-Mechanical Polishing (CMP) provide some basic Back End Of Line (BEOL) capabilities.
Coming Soon: Table top Flip Chip Bonder
   
- Specialty Tools:  The NanoFab also has a state-of-the-art the Atomic Layer Deposition (ALD) that has the capability depositing a single monolayer at a time of certain materials. Other specialty tools include our Parylene deposition system and the Suss SB6 Wafer Bonder tool.
   
- Complete Equipment Listing

 

The National Institute of Standards and Technology (NIST) is an agency of the U.S. Department of Commerce.

Privacy policy / security notice / accessibility statement / Disclaimer / Freedom of Information Act (FOIA) /
Environmental Policy Statement / No Fear Act Policy / ExpectMore.gov (performance of federal programs) /
NIST Information Quality Standards / Scientific Integrity Summary

Online: February 2006
Last updated: February 2013

NanoFab News and Highlights

home figs
 


- New NanoFab Tools Coming Soon

News Archive


Technical inquiries: NanoFab Manager
National Institute of Standards and Technology
100 Bureau Drive, Stop 6201
Gaithersburg, MD 20899-6201
Phone: 877-NANO-US1

Website comments: Feedback

NIST Conferences

NIST Visitor Info

General NIST inquiries:
Public Inquiries Unit:
(301) 975-NIST (6478)
TTY (301) 975-8295